[Win] Aldec Riviera Pro 仿真模拟软件下载

软件介绍

Riviera-PRO 满足了工程师制作未来尖端 FPGA 和 SoC 器件的验证需求。Riviera-PRO 通过结合高性能仿真引擎、不同抽象级别的高级调试功能以及对最新语言和验证库标准的支持,实现了最终的测试平台生产力、可重用性和自动化。

  • 广泛的仿真优化算法,可在 VHDL、Verilog/SystemVerilog、SystemC 和混合语言仿真中实现最高性能;
  • 业界领先的容量和仿真性能为开发最复杂的系统分享高回归吞吐量;
  • 支持最新的验证库,包括通用验证方法 (UVM);
  • 支持 VHDL 验证库,包括 OSVVM 和 UVVM。;
  • 高级调试;
  • 集成的多语言调试环境可实现耗时的设计分析任务的自动化并快速修复 Bug;
  • UVM 工具箱、UVM 图、类查看器、事务流和数据,允许基于 OVM/UVM 类库对设计进行可视化映射和调试;
  • 内置调试工具分享代码跟踪、波形、数据流、FSM 窗口、覆盖范围、断言和内存可视化功能;
  • 全面的基于肯定的验证(SVA 和 PSL),可提高设计可观察性并缩短调试时间;
  • 高级代码和功能覆盖率功能以及覆盖率分析工具,用于快速完成基于指标的验证闭包;
  • 通过用户定义的测试计划与覆盖范围数据库链接实现高效的验证流程;
  • 绘图查看器和图像查看器工具,用于大型数据数组的可视化表示;

软件截图

[Win] Aldec Riviera Pro 仿真模拟软件下载

下载仅供下载体验和测试学习,不得商用和正当使用。

下载体验

请输入密码查看下载!

如何免费获取密码?

点击下载

评论